Publikationen des Instituts für Mikroelektronische Systeme

Zeige Ergebnisse 441 - 460 von 554

2010


Optimization of chip design processes using task graphs. / Hinrichs, Neele; Olbrich, Markus; Barke, Erich.
ICSTE 2010 - 2010 2nd International Conference on Software Technology and Engineering, Proceedings. 2010. S. V1116-V1120 5608900 (ICSTE 2010 - 2010 2nd International Conference on Software Technology and Engineering, Proceedings; Band 1).

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

Performance management and optimization of semiconductor design projects. / Hinrichs, Neele; Olbrich, Markus; Barke, Erich.
IAENG Transactions on Engineering Technologies, Volume 4 - Special Edition of the World Congress on Engineering and Computer Science. 2010. S. 413-427 (AIP Conference Proceedings; Band 1247).

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

Verlustleistungsoptimiertes Multicore-Prozessorsystem für hochauflösende Multistandard-Videokompression in mobilen Multimedia-Endgeräten (HD2MOBILE): Schlussbericht ; KMU-Innovationsoffensive Informations- und Kommunikationstechnologie (IKT) - Elektronik und Mikrosysteme ; hier: Teilvorhaben Institut für Mikroelektronische Systeme, Entwurf von Low-Power-Strategien und Echtzeit-Profiling ; [Berichtszeitraum: 01.12.2009 - 30.07.2010]. / Martin-Langerwerf, Javier; Blume, Holger.
Hannover: Leibniz Universität Hannover, 2010. 13 S.

Publikation: Buch/Bericht/Sammelwerk/KonferenzbandProjektbericht/ForschungsberichtForschung

Development of a therapeutic device supporting real-time dynamic vertical force unload. / Mozgova, Iryna; Brückner, Hans Peter; Bach, Friedrich Wilhelm et al.
Crossing borders within the ABC : automation, biomedical engineering and computer science: Proceedings. 2010. S. 468-473.

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschung

A Forwarding-sensitive Instruction Scheduling Approach to Reduce Register File Constraints in VLIW Architectures. / Payá-Vayá, Guillermo; Martín-Langerwerf, Javier; Blume, Holger et al.
21st IEEE International Conference on Application-Specific Systems, Architectures and Processors, ASAP 2010: Proceedings. IEEE Computer Society, 2010. S. 151-158 (Proceedings of the International Conference on Application-Specific Systems, Architectures and Processors).

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

VLIW Architecture Optimization for an Efficient Computation of Stereoscopic Video Applications. / Payá-Vayá, G.; Martín-Langerwerf, J.; Banz, C. et al.
The First International Conference on Green Circuits and Systems (ICGCS 2010): Conference Program. IEEE Computer Society, 2010. S. 457-462.

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

A Fully Programmable FSM-based Processing Engine for Gigabytes/s Header Parsing. / Septinus, Konstantin; Pirsch, Peter; Blume, Holger et al.
Proceedings - 2010 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, IC-SAMOS 2010. IEEE Computer Society, 2010. S. 45-54.

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

An Accelerated Mixed-Signal Simulation Kernel for SystemC. / Zaum, Daniel; Hoelldampf, Stefan; Olbrich, Markus et al.
2010. 234-239.

Publikation: KonferenzbeitragPaperForschungPeer-Review

SystemC mixed-signal and mixed-level simulation using an accelerated analog simulation approach. / Zaum, D.; Hoelldampf, S.; Olbrich, M. et al.
2010 11th International Workshop on Symbolic and Numerical Methods, Modeling and Applications to Circuit Design, SM2ACD 2010. 2010. 5672303 (2010 11th International Workshop on Symbolic and Numerical Methods, Modeling and Applications to Circuit Design, SM2ACD 2010).

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review


2009


Hardware-Plattformen für die Multimedia-Signalverarbeitung: Architekturkonzepte, Entwurfsmethoden, Trends. / Blume, Holger Christoph.
ITG-Fachtagung für Elektronische Medien: Systeme, Technologien, Anwendungen ; 13. Dortmunder Fernsehseminar, Technische Universität Dortmund, 17.-18. März 2009. Frankfurt am Main, 2009.

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschung

Hardware-based synchronization framework for heterogeneous RISC/Coprocessor architectures. / Flatt, Holger; Schmädecke, Ingo; Kärgel, Michael et al.
2009 International Symposium on Systems, Architectures, Modeling, and Simulation. Institute of Electrical and Electronics Engineers Inc., 2009. S. 125-132.

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

Efficient and fast analysis of power distribution networks. / Harizi, Hedi; Fischer, Horst; Olbrich, Markus et al.
2009 IEEE Symposium on Industrial Electronics and Applications, ISIEA 2009 - Proceedings. 2009. S. 425-430 5356442 (2009 IEEE Symposium on Industrial Electronics and Applications, ISIEA 2009 - Proceedings; Band 1).

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

Modeling and simulation techniques for voltage drop due to multiple input switching transitions. / Harizi, Hedi; Olbrich, Markus; Barke, Erich.
2009 International Conference on Computer and Electrical Engineering, ICCEE 2009. 2009. S. 546-550 5380220 (2009 International Conference on Computer and Electrical Engineering, ICCEE 2009; Band 2).

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

Combating Skill Shortage in Electrical Engineering: An Action-Oriented Teaching Unit on Microelectronics. / Jambor, Thomas; Zaum, D.; Olbrich, Markus et al.
in: Engineering Education and Educational Technologies 2009, 07.2009.

Publikation: Beitrag in FachzeitschriftArtikelForschungPeer-Review

Memory efficient programmable processor for bitstream processing and entropy decoding of multiple-standard high-bitrate HDTV video bitstreams. / Nolte, N.; Moch, S.; Kock, M. et al.
Proceedings - IEEE International SOC Conference, SOCC 2009. 2009. S. 427-431 5398001 (Proceedings - IEEE International SOC Conference, SOCC 2009).

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

A multi-shared register file structure for VLIW processors. / Payá-Vayá, Guillermo; Martín-Langerwerf, Javier; Pirsch, Peter.
in: Journal of Signal Processing Systems, Jahrgang 58, Nr. 2, 20.03.2009, S. 215-231.

Publikation: Beitrag in FachzeitschriftArtikelForschungPeer-Review

An enhanced DMA controller in SIMD processors for video applications. / Payá-Vayá, Guillermo; Martín-Langerwerf, Javier; Moch, Sören et al.
in: Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), Jahrgang 5455 LNCS, 2009, S. 159-170.

Publikation: Beitrag in FachzeitschriftKonferenzaufsatz in FachzeitschriftForschungPeer-Review

Instruction merging to increase parallelism in VLIW architectures. / Payá-Vayá, Guillermo; Martín-Langerwerf, Javier; Giesemann, Florian et al.
2009 International Symposium on System-on-Chip - Proceedings, SoC 2009. Institute of Electrical and Electronics Engineers Inc., 2009. S. 143-146 5335660.

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

Exploration of Audio Features for Music Genre Classification. / Schmädecke, I.; Dürre, J.; Blume, H.
Proceedings: SAFE 2009, 12th Annual Workshop on Semiconductors Advances for Future Electronics and Sensors [and] ProRISC 2009, 20th Annual Workshop on Circuits, Systems and Signal Processing : November 26 - 27, 2009, Veldhoven, the Netherlands. Utrecht, 2009. S. 279-284.

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschung

Simulation and Modeling of I/O Protocol Processing with Application of Network Interface Design Exploration. / Septinus, K.; Nowosielski, R.; Pirsch, P. et al.
Elektronische Medien: Vorträge des 10. Dortmunder Fernsehseminars vom 29. September bis 1. Oktober 2003 in Dortmund. Berlin: VDE Verlag GmbH, 2009. S. 515-521 (ITG-Fachbericht; Band 179).

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschung