Publikationen des Instituts für Mikroelektronische Systeme

Zeige Ergebnisse 521 - 540 von 547

2002


Object based refinement of motion vector fields applying probabilistic homogenization rules. / Blume, H.; Herczeg, G.; Erdler, O. et al.
in: Digest of Technical Papers-IEEE International Conference on Consumer Electronics, 2002.

Publikation: Beitrag in FachzeitschriftArtikelForschungPeer-Review

A flexible, fully configurable architecture for MPEG-2 video encoding. / Jachalsky, J.; Wahle, M.; Pirsch, P. et al.
ICECS 2002 : 9th IEEE International Conference on Electronics, Circuits and Systems. 2002. S. 1063-1066 1046434 (Proceedings of the IEEE International Conference on Electronics, Circuits, and Systems; Band 3).

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

Project management and verification: The key problems of student chip design coursesThe key problems of student chip design courses. / Jachalsky, Jörn; Kulaczewski, Mark B.; Pirsch, Peter.
in: Proceedings - Frontiers in Education Conference, Jahrgang 3, 2002, S. S2D/12-S2D/16.

Publikation: Beitrag in FachzeitschriftKonferenzaufsatz in FachzeitschriftForschungPeer-Review

A new placement algorithm for an interconnect centric design flow. / Malonnek, C.; Olbrich, M.; Barke, E.
Proceedings - 15th Annual IEEE International ASIC/SOC Conference, ASIC/SOC 2002. Hrsg. / John Chickanosky; Ram K. Krishnamurthy; P.R. Mukund. Institute of Electrical and Electronics Engineers Inc., 2002. S. 416-420 1158095 (Proceedings of the Annual IEEE International ASIC Conference and Exhibit; Band 2002-January).

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

A high efficient simulation environment for HDTV video decoder in VLSI design. / Mao, Xun; Wang, Hui; Gong, Huiming et al.
in: Proceedings of SPIE - The International Society for Optical Engineering, Jahrgang 4671 II, 04.01.2002, S. 1006-1014.

Publikation: Beitrag in FachzeitschriftArtikelForschungPeer-Review

Benefits of macro-based multi-FPGA partitioning for video processing applications. / Martín-Langerwerf, J.; Reuter, C.; Kropp, H. et al.
Proceedings : 13th IEEE International Workshop on Rapid System Prototyping, RSP 2002. IEEE Computer Society, 2002. S. 60-65 1029739 (Proceedings of the International Workshop on Rapid System Prototyping; Band 2002-January).

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

Processor architectures for multimedia applications. / Pirsch, P.; Freimann, A.; Klar, C. et al.
Embedded Processor Design Challenges : Systems, Architectures, Modeling, and Simulation, SAMOS. Hrsg. / Ed F. Deprettere; Jürgen Teich; Stamatis Vassiliadis. Springer Verlag, 2002. S. 188-206 (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); Band 2268).

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

A multi DSP board for real time SAR processing using the HiPAR-DSP 16. / Simon-Klar, C.; Friebe, L.; Kloos, H. et al.
2002. 2750-2752 Beitrag in 2002 IEEE International Geoscience and Remote Sensing Symposium (IGARSS 2002), Toronto, Ontario, Kanada.

Publikation: KonferenzbeitragPaperForschungPeer-Review

A platform-independent methodology for performance estimation of streaming media applications. / Stolberg, H. J.; Berekovit, M.; Pirsch, P.
Proceedings : 2002 IEEE International Conference on Multimedia and Expo, ICME 2002. Institute of Electrical and Electronics Engineers Inc., 2002. S. 105-108 1035523 (Proceedings - 2002 IEEE International Conference on Multimedia and Expo, ICME 2002; Band 2).

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review


2001


CHIPDESIGN-a novel project-oriented microelectronics course. / Kulaczewski, M. B.; Zimmerman, S.; Barke, E. et al.
Proceedings - 2001 International Conference on Microelectronic Systems Education, MSE 2001: Designing Microsystems in the New Millennium. Institute of Electrical and Electronics Engineers Inc., 2001. S. 71-72 932419 (Proceedings - 2001 International Conference on Microelectronic Systems Education, MSE 2001: Designing Microsystems in the New Millennium).

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

An improved hierarchical classification algorithm for structural analysis of integrated circuits. / Olbrich, Markus; Rein, Achim; Barke, Erich.
2001. 807.

Publikation: KonferenzbeitragPaperForschungPeer-Review

Implementing the MPEG-4 advanced simple profile for streaming video applications. / Stolberg, H. J.; Berekovic, M.; Pirsch, P. et al.
Proceedings : IEEE International Conference on Multimedia and Expo. IEEE Computer Society, 2001. S. 230-233 1237698 (Proceedings - IEEE International Conference on Multimedia and Expo).

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

The MPEG-4 Advanced Simple profile: A complexity study. / Stolberg, H. J.; Bereković, M.; Pirsch, P. et al.
Proceedings of Workshop and Exhibition on MPEG-4. Institute of Electrical and Electronics Engineers Inc., 2001. S. 33-36 996441 (Proceedings of Workshop and Exhibition on MPEG-4).

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review


2000


Cross-talk reduction and efficiency of integrated photodiodes shown by an integrated edge detector. / Martiny, Ingo; Leuner, Ruediger; Wicht, Bernhard.
in: Proceedings of SPIE - The International Society for Optical Engineering, Jahrgang 3950, 2000, S. 39-48.

Publikation: Beitrag in FachzeitschriftKonferenzaufsatz in FachzeitschriftForschungPeer-Review

Integrated Optical Sensor for the Coherence Radar System,Integrierter Bildaufnehmer für das Kohärenzradar. / Martiny, I.; Wicht, B.
in: Technisches Messen, 2000.

Publikation: Beitrag in FachzeitschriftArtikelForschungPeer-Review


1998


Parallele Implementierung einer JAVA Virtual Machine mit Erweiterungen für Multimedia. / Berekovic, Mladen; Kloos, Helge; Pirsch, Peter.
in: ITG-Fachbericht, Nr. 147, 1998, S. 305-310.

Publikation: Beitrag in FachzeitschriftArtikelForschungPeer-Review

Implementierung von Pipeline-Multiplizierern auf Xilinx FPGAs. / Do, Tien Toan; Reuter, Carsten; Kropp, Holger et al.
in: ITG-Fachbericht, Nr. 147, 1998, S. 83-88.

Publikation: Beitrag in FachzeitschriftArtikelForschungPeer-Review

Emulation von Bildverarbeitungsalgorithmen am Beispiel der Diskreten Cosinus Transformation. / Kropp, Holger; Reuter, Carsten; Wiege, Matthias et al.
in: ITG-Fachbericht, Nr. 147, 1998, S. 71-76.

Publikation: Beitrag in FachzeitschriftArtikelForschungPeer-Review

An Optimized Design Flow for Fast FPGA-Based Rapid Prototyping. / Stohmann, Jörn; Harbich, Klaus; Olbrich, Markus et al.
1998. 79-88.

Publikation: KonferenzbeitragPaperForschungPeer-Review


1997


High-performance VLSI signal and video processors. / Parhi, Keshab K.; Ackland, Bryan; Pirsch, P.
in: Proceedings - IEEE International Symposium on Circuits and Systems, 1997, S. 1-2.

Publikation: Beitrag in FachzeitschriftKonferenzaufsatz in FachzeitschriftForschungPeer-Review