Publikationen des Instituts für Mikroelektronische Systeme

Zeige Ergebnisse 321 - 340 von 554

2016


Simulation of needle bumps in a package-on-package structure. / Weide-Zaage, Kirsten; Xu, Peiyu.
IMAPS Nordic Annual Conference 2016 Proceedings. Hrsg. / Jarkko Kutilainen. IMAPS-International Microelectronics and Packaging Society, 2016. (IMAPS Nordic Annual Conference 2016 Proceedings).

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

Electronic drive circuit and method. / Wicht, Bernhard (Erfinder*in); Schindler, Alexis (Erfinder*in); Zannoth, Markus (Erfinder*in).
Patent Nr.: CN106027028. Okt. 12, 2016.

Publikation: Schutzrecht/PatentPatent

Experimental violation of the Start-Stop-Approximation using a Holistic Rail-based UWB FMCW-SAR System. / Wielage, Matthis; Cholewa, Fabian; Pirsch, Peter et al.
Proceedings of EUSAR 2016: 11th European Conference on Synthetic Aperture Radar. VDE Verlag GmbH, 2016. S. 838-841.

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review


2015


Abstracting Parallel Programming and Its Analysis Towards Framework Independent Development. / Arndt, Oliver Jakob; Lefherz, Tile; Blume, Holger.
IEEE 9th International Symposium on Embedded Multicore/Manycore Socs: Proceedings. IEEE Computer Society, 2015. S. 96-103.

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

A Synthesizable Temperature Sensor on FPGA Using DSP-Slices for Reduced Calibration Overhead and Improved Stability. / Bartels, Christopher; Zhang, Chao; Payá-Vayá, Guillermo et al.
Architecture of Computing Systems - ARCS 2015: Proceedings. Hrsg. / Luís Miguel Pinho; Wolfgang Karl; Uwe Brinkschulte; Albert Cohen. Springer Verlag, 2015. S. 161-172 (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); Band 9017).

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

Parallel Implementation of Real-Time Block-Matching based Motion Estimation on Embedded Multi-Core Architectures. / Behmann, Nicolai; Arndt, Oliver Jakob; Blume, Holger Christoph.
2015. Beitrag in ICT.Open 2015, Amersfoort, Niederlande.

Publikation: KonferenzbeitragPaperForschungPeer-Review

Forschungs- und Entwicklungsprojekt: Schaltungsentwurf und physikalisches Design für neuartige FPGA-Architektur: Teilvorhaben: FPGA-Architekturevaluation, Benchmarking und Place&Route (Verbundpartner IMS) : KMU Innovativ : FPGA : Abschlussbericht. / Blume, Holger; Bredthauer, Björn; Olbrich, Markus et al.
2015. 24 S.

Publikation: Buch/Bericht/Sammelwerk/KonferenzbandProjektbericht/ForschungsberichtForschung

Design space exploration of hardware platforms for interactive low latency movement sonification. / Brückner, Hans Peter; Lesse, Sebastian; Theimer, Wolfgang et al.
in: Journal on Multimodal User Interfaces, Jahrgang 10, Nr. 1, 22.09.2015, S. 1-11.

Publikation: Beitrag in FachzeitschriftArtikelForschungPeer-Review

Exploring energy efficiency of hardware-architectures for IMU based orientation estimation. / Brückner, H. P.; Spindeldreier, C.; Blume, H.
Sensing Technology: Current Status and Future Trends III. Cham: Springer International Publishing AG, 2015. S. 157-178 (Smart Sensors, Measurement and Instrumentation; Band 11).

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandBeitrag in Buch/SammelwerkForschungPeer-Review

Synthetic aperture radar with backprojection: A scalable, platform independent architecture for exhaustive FPGA resource utilization. / Cholewa, F.; Pfitzner, M.; Fahnemann, C. et al.
2014 International Radar Conference, Radar. IEEE Computer Society, 2015.

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

An Area Efficient Real- and Complex-Valued Multiply-Accumulate SIMD Unit for Digital Signal Processors. / Gerlach, Lukas; Payá-Vayá, Guillermo; Blume, Holger.
2015 IEEE Workshop on Signal Processing Systems (SiPS). IEEE Computer Society, 2015.

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

Hardware Accelerator for Minimum Mean Square Error Interference Alignment. / Kock, Markus; Busch, Steffen; Blume, Holger.
2015 IEEE International Conference on Digital Signal Processing (DSP): DSP 2015. IEEE Computer Society, 2015. S. 575-579.

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

Automated generation of hybrid system models for reachability analysis of nonlinear analog circuits. / Lee, Hyun-Sek Lukas; Althoff, Matthias; Hoelldampf, Stefan et al.
2015. 725-730.

Publikation: KonferenzbeitragPaperForschungPeer-Review

An electronic encapsulated Monitoring System for a Vascular Graft Bioreactor. / Leibold, C.; Kornau, N.; Blume, C. et al.
BiOCAS 2015 - Engineering for Healthy Minds and Able Bodies: Proceedings. IEEE Computer Society, 2015.

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

A Real-time Monitoring System Controller for Medical Tissue Engineering Bioreactors. / Leibold, C.; Wahalla, M.; Blume, C. et al.
2015 IEEE International Conference on Consumer Electronics (ICCE). IEEE Computer Society, 2015. S. 40-41.

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

Realtime FPGA-based Processing Unit for a High-Resolution Automotive MIMO Radar Platform. / Meinl, Frank; Schubert, Eugen; Kunert, Martin et al.
2015 European Radar Conference (EuRAD) : Proceedings. IEEE Computer Society, 2015. S. 213-216.

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

Dynamical IMC-growth calculation. / Meinshausen, L.; Weide-Zaage, K.; Frémont, H.
in: Microelectronics reliability, Jahrgang 55, Nr. 9-10, 08.2015, S. 1832-1837.

Publikation: Beitrag in FachzeitschriftArtikelForschungPeer-Review

Analyzing the Performance-Hardware Trade-off of an ASIP-based SIFT Feature Extraction. / Mentzer, Nico; Payá-Vayá, Guillermo; Blume, Holger.
in: Journal of Signal Processing Systems, Jahrgang 85, Nr. 1, 11.05.2015, S. 83-99.

Publikation: Beitrag in FachzeitschriftArtikelForschungPeer-Review

GEANT4 simulations in terms of radiation hardness of commercially available SRAM. / Moujbani, Aymen; Weide-Zaage, Kirsten; Romer, Berthold et al.
2015 16th International Conference on Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems, EuroSimE 2015. Institute of Electrical and Electronics Engineers Inc., 2015. 7103106.

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

Exploring Dynamic Reconfigurable CORDIC Co-Processors Tightly Coupled with a VLIW-SIMD Soft-Processor Architecture. / Nolting, Stephan; Payá-Vayá, Guillermo; Giesemann, Florian et al.
Applied Reconfigurable Computing: Proceedings. Hrsg. / Pedro C. Diniz; Kentaro Sano; Michael Hübner; Dimitrios Soudris. Springer Verlag, 2015. S. 401-410 (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); Band 9040).

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review