Publikationen des Instituts für Mikroelektronische Systeme

Zeige Ergebnisse 401 - 420 von 548

2012


Efficient generation of analog circuit models for accelerated mixed-signal simulation. / Hoelldampf, Stefan; Lee, Hyun-Sek Lukas; Zaum, Daniel et al.
2012. 104-109.

Publikation: KonferenzbeitragPaperForschungPeer-Review

Methods of parameter variations. / Knoth, Christoph; Schlichtmann, Ulf; Li, Bing et al.
Process Variations and Probabilistic Integrated Circuit Design. Band 9781441966216 Springer New York LLC, 2012. S. 91-179.

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandBeitrag in Buch/SammelwerkForschungPeer-Review

Enclosing the modeling error in analog behavioral models using neural networks and affine arithmetic. / Krause, Anna; Olbrich, Markus; Barke, Erich.
2012 International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, SMACD 2012. 2012. S. 5-8 6339403 (2012 International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, SMACD 2012).

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

Using SDRAM Memories for High-Performance Accesses to Two-Dimensional Matrices Without Transposetranspose. / Langemeyer, Stefan; Pirsch, Peter; Blume, Holger.
in: International Journal of Parallel Programming, Jahrgang 41, Nr. 2, 12.10.2012, S. 331-354.

Publikation: Beitrag in FachzeitschriftArtikelForschungPeer-Review

Evaluation of a Generic Radix-4 CORDIC Coprocessor Tightly Coupled with a Generic VLIW-SIMD ASIP Architecture. / Nolting, Stephan; Payá Vayá, Guillermo; Schmädecke, Ingo et al.
2012. Beitrag in ICT.OPEN 2012, Amsterdam, Niederlande.

Publikation: KonferenzbeitragPaperForschung

Dynamic Data-Path Self-Reconfiguration of a VLIW-SIMD Soft-Processor Architecture. / Payá Vayá, Guillermo; Burg, Roman; Blume, Holger Christoph.
Workshop on Self-Awareness in Reconfigurable Computing Systems (SRCS). 2012. S. 26-29.

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschung

A flexible hardware architecture for real-time airborne Wavenumber Domain SAR processing. / Pfitzner, M.; Cholewa, F.; Pirsch, P. et al.
EUSAR 2012; 9th European Conference on Synthetic Aperture Radar. VDE Verlag GmbH, 2012. S. 28-31.

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

A flexible real-time SAR processing platform for high resolution airborne image generation. / Pfitzner, Martin; Langemeyer, Stefan; Pirsch, Peter et al.
Proceedings of 2011 IEEE CIE International Conference on Radar, RADAR 2011. IEEE Computer Society, 2012. S. 26-29.

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

Model-based Improvement of Motion Vector Fields for Driver Assistance Systems. / Schewior, Gregor; Blume, Holger.
2012 IEEE International Conference on Consumer Electronics (ICCE-Berlin): Conference Proceedings. IEEE Computer Society, 2012. S. 231-235.

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

Towards Abstract Analysis Techniques for Range Based System Simulations. / Schupfer, Florian; Grimm, Christoph; Olbrich, Markus et al.
System Specification and Design Languages: Selected Contributions from FDL 2010. Hrsg. / Tom J. Kaźmierski; Adam Morawiec. Springer Science and Business Media and Springer VS, 2012. S. 105–121 (Lecture Notes in Electrical Engineering; Band 106).

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review


2011


Instruction Set Extension for High Throughput Disparity Estimation in Stereo Image Processing. / Banz, Christian; Dolar, Carsten; Cholewa, Fabian et al.
22nd IEEE International Conference on Application-Specific Systems, Architectures and Processors, ASAP 2011: Proceedings . IEEE Computer Society, 2011. S. 169-175 (Proceedings of the International Conference on Application-Specific Systems, Architectures and Processors).

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

Real-Time Semi-Global Matching Disparity Estimation on the GPU. / Banz, Christian; Blume, Holger; Pirsch, Peter.
2011 IEEE International Conference on Computer Vision Workshops (ICCV Workshops). IEEE Computer Society, 2011. S. 514-521.

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

Huge Music Archives on Mobile Devices: Toward an automated dynamic organization. / Blume, Holger; Bischl, Bernd; Botteck, Martin et al.
in: IEEE signal processing magazine, Jahrgang 28, Nr. 4, 15.06.2011, S. 24-39.

Publikation: Beitrag in FachzeitschriftArtikelForschungPeer-Review

Mehr Sicherheit für Fußgänger. / Blume, Holger Christoph.
in: Elektronik automotive : Fachmedium für professionelle Automobilelektronik , 2011, S. 32-37.

Publikation: Beitrag in FachzeitschriftArtikelForschung

PC-Based Real Time Sonification of Human Motion Captured by Inertial Sensors. / Brückner, Hans Peter; Bartels, Christopher; Blume, Holger Christoph.
International Conference on Auditory Display, 2011 : proceedings of the 17th International Conference on Auditory Display. 2011.

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschung

Mapping of a Real-Time Object Detection Application onto a Configurable RISC/Coprocessor Architecture at Full HD Resolution. / Flatt, Holger; Blume, Holger; Pirsch, Peter.
2010 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2010: Proceedings. IEEE Computer Society, 2011. S. 452-457.

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

Fast mixed-signal simulation using SystemC. / Hoelldampf, Stefan; Zaum, Daniel; Neumann, Ingmar et al.
2011 IEEE International Systems Conference, SysCon 2011 - Proceedings. 2011. S. 527-530 5929046 (2011 IEEE International Systems Conference, SysCon 2011 - Proceedings).

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

Using analog circuit behavior to generate SystemC events for an acceleration of mixed-signal simulation. / Hoelldampf, Stefan; Zaum, Daniel; Olbrich, Markus et al.
2011. 108-112.

Publikation: KonferenzbeitragPaperForschungPeer-Review

Datenstrukturen für nicht-orthogonale parasitensymmetrische Verdrahtung. / Jambor, Thomas.
München, 2011.

Publikation: Qualifikations-/StudienabschlussarbeitDissertation

A FPGA architecture for real-time processing of variable-length FFTS. / Langemeyer, Stefan; Pirsch, Peter; Blume, Holger.
2011 IEEE International Conference on Acoustics, Speech, and Signal Processing: Proceedings. 2011. S. 1705-1708.

Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review