Publications of the Institute of Microelectronic Systems

Showing results 341 - 360 out of 554

2015


Impact of aluminium addition on the corrosion behaviour of Sn-1.0Ag-0.5Cu lead-free solder. / Nordin, N. I.M.; Said, S. M.; Ramli, R. et al.
In: RSC Advances, Vol. 5, No. 120, 2015, p. 99058-99064.

Research output: Contribution to journalArticleResearchpeer review

Exploring Different Approximate Adder Architecture Implementations in a 250 C SOI Technology. / Nowosielski, Rochus; Hartig, Julian; Payá Vayá, Guillermo et al.
2015. Paper presented at Workshop On Approximate Computing, Amsterdam, Netherlands.

Research output: Contribution to conferencePaperResearch

FLINT: Layout-Oriented FPGA-Based Methodology for Fault Tolerant ASIC Design. / Nowosielski, Rochus; Gerlach, Lukas; Bieband, Stephan et al.
Proceedings of the 2015 Design, Automation and Test in Europe Conference and Exhibition (DATE). IEEE Computer Society, 2015. p. 297-300.

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

Energy- and Latency-Aware Simulation of Battery-Operated Wireless Embedded Networks for Home Automation. / Pfefferkorn, Daniel; Jeschke, Hartwig; Blume, Holger.
2015 10th IEEE International Symposium on Industrial Embedded Systems (SIES): SIES 2015. IEEE Computer Society, 2015. p. 140-143.

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

FNOCEE: A Framework for NoC Evaluation by FPGA-based Emulation. / Pfefferkorn, Daniel; Schmider, Achim; Payá-Vayá, Guillermo et al.
Proceedings - 2015 - International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS XV). ed. / Luigi Carro; Dimitrios Soudris. IEEE Computer Society, 2015. p. 86-95.

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

Fast global interconnnect driven 3D floorplanning. / Quiring, Artur; Olbrich, Markus; Barke, Erich.
2015. 313-318.

Research output: Contribution to conferencePaperResearchpeer review

Split and merge strategies for solving uncertain equations using affine arithmetic. / Scharf, Oliver; Olbrich, Markus; Barke, Erich.
2015. 1-8.

Research output: Contribution to conferencePaperResearchpeer review

HLS-based FPGA Implementation of a Predictive Block-based Motion Estimation Algorithm - A Field Report. / Schewior, Gregor; Zahl, Christian; Blume, Holger et al.
Proceedings of the 2014 Conference on Design and Architectures for Signal and Image Processing: DASIP. ed. / Ana Pinzari; Adam Morawiec. IEEE Computer Society, 2015.

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

How SI/EMC and reliability issues could interact together in embedded electronic systems? / Weide-Zaage, Kirsten; Moujbani, Aymen; Duchamp, Genevieve et al.
2015 IEEE International Symposium on Electromagnetic Compatibility, EMC 2015. Institute of Electrical and Electronics Engineers Inc., 2015. p. 1323-1328 7256363 (IEEE International Symposium on Electromagnetic Compatibility; Vol. 2015-Septmber).

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review


2014


Performance Evaluation of the Intel Xeon Phi Manycore Architecture Using Parallel Video-Based Driver Assistance Algorithms. / Arndt, Oliver Jakob; Becker, Daniel; Giesemann, Florian et al.
Proceedings - International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS XIV). ed. / Alexander V. Veidenbaum; Carlo Galuzzi. IEEE Computer Society, 2014. p. 125-132.

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

Robustness measurement of integrated circuits and its adaptation to aging effects. / Barke, Martin; Kärgel, Michael; Olbrich, Markus et al.
In: Microelectron. Reliab., Vol. 54, No. 6-7, 2014, p. 1058-1065.

Research output: Contribution to journalArticleResearchpeer review

Mikroelektronik - Ausbildung am Institut für Mikroelektronische Systeme der Leibniz Universität Hannover. / Blume, Holger Christoph; Brückner, Hans Peter; Leibold, Christian et al.
2014. VDI-Workshop “Projektorientiertes und Problem-basiertes Lernen (PBL) in der Ingenieurausbildung“, Darmstadt, Germany.

Research output: Contribution to conferenceSlides to presentationResearch

Energy-Efficient Inertial Sensor Fusion on Heterogeneous FPGA-Fabric / RISC System on Chip. / Bruckner, H. P.; Spindeldreier, C.; Blume, H.
2013 7th International Conference on Sensing Technology (ICST). 2014. p. 506-511.

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

Real-Time Low Latency Movement Sonification in Stroke Rehabilitation Based on a Mobile Platform. / Bruckner, Hans Peter; Theimer, Wolfgang; Blume, Holger.
2014 IEEE International Conference on Consumer Electronics (ICCE). IEEE Computer Society, 2014. p. 264-265 (Digest of Technical Papers - IEEE International Conference on Consumer Electronics).

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

Design and Evaluation of a Hardware-Accelerator for Energy Efficient Inertial Sensor Fusion on Heterogeneous SoC Architectures. / Brückner, H. P.; Spindeldreier, C.; Blume, H.
The 15th International Conference on Biomedical Engineering: ICMBE 2013. ed. / James Goh. Springer Verlag, 2014. p. 227-230 (IFMBE Proceedings; Vol. 43).

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

Reliable orientation estimation for mobile motion capturing in medical rehabilitation sessions based on inertial measurement units. / Brückner, Hans Peter; Krüger, Benjamin; Blume, Holger.
In: Microelectronics journal, Vol. 45, No. 12, 23.06.2014, p. 1603-1611.

Research output: Contribution to journalArticleResearchpeer review

Implementation of Phase-to-Amplitude Coupling Analysis Algorithms in Deep Brain Stimulation Devices. / Dellavale, D.; Kock, Markus; Blume, Holger Christoph et al.
In: Biomedizinische Technik, Vol. 59, No. s1, 27.09.2014.

Research output: Contribution to journalConference articleResearchpeer review

ASEV - Automatic situation assessment for event-driven video analysis. / Fenzi, Michele; Ostermann, Jörn; Mentzer, Nico et al.
11th IEEE International Conference on Advanced Video and Signal-Based Surveillance: AVSS 2014. IEEE Computer Society, 2014. p. 37-43.

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

A Comprehensive ASIC/FPGA Prototyping Environment for Exploring Embedded Processing Systems for Advanced Driver Assistance Applications. / Giesemann, Florian; Paya-Vaya, Guillermo; Blume, Holger et al.
Proceedings - International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS XIV). ed. / Alexander V. Veidenbaum; Carlo Galuzzi. IEEE Computer Society, 2014. p. 314-321.

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

Customizing a VLIW-SIMD Application-Specific Instruction-Set Processor for Hearing Aid Devices. / Hartig, Julian; Gerlach, Lukas; Payá-Vayá, Guillermo et al.
Proceedings of the 2014 IEEE Workshop on Signal Processing Systems: Design and Implementation. IEEE Computer Society, 2014.

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review