Publications of the Institute of Microelectronic Systems

Showing results 441 - 460 out of 550

2010


A Forwarding-sensitive Instruction Scheduling Approach to Reduce Register File Constraints in VLIW Architectures. / Payá-Vayá, Guillermo; Martín-Langerwerf, Javier; Blume, Holger et al.
21st IEEE International Conference on Application-Specific Systems, Architectures and Processors, ASAP 2010: Proceedings. IEEE Computer Society, 2010. p. 151-158 (Proceedings of the International Conference on Application-Specific Systems, Architectures and Processors).

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

VLIW Architecture Optimization for an Efficient Computation of Stereoscopic Video Applications. / Payá-Vayá, G.; Martín-Langerwerf, J.; Banz, C. et al.
The First International Conference on Green Circuits and Systems (ICGCS 2010): Conference Program. IEEE Computer Society, 2010. p. 457-462.

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

A Fully Programmable FSM-based Processing Engine for Gigabytes/s Header Parsing. / Septinus, Konstantin; Pirsch, Peter; Blume, Holger et al.
Proceedings - 2010 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, IC-SAMOS 2010. IEEE Computer Society, 2010. p. 45-54.

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

An Accelerated Mixed-Signal Simulation Kernel for SystemC. / Zaum, Daniel; Hoelldampf, Stefan; Olbrich, Markus et al.
2010. 234-239.

Research output: Contribution to conferencePaperResearchpeer review

SystemC mixed-signal and mixed-level simulation using an accelerated analog simulation approach. / Zaum, D.; Hoelldampf, S.; Olbrich, M. et al.
2010 11th International Workshop on Symbolic and Numerical Methods, Modeling and Applications to Circuit Design, SM2ACD 2010. 2010. 5672303 (2010 11th International Workshop on Symbolic and Numerical Methods, Modeling and Applications to Circuit Design, SM2ACD 2010).

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review


2009


Hardware-Plattformen für die Multimedia-Signalverarbeitung: Architekturkonzepte, Entwurfsmethoden, Trends. / Blume, Holger Christoph.
ITG-Fachtagung für Elektronische Medien: Systeme, Technologien, Anwendungen ; 13. Dortmunder Fernsehseminar, Technische Universität Dortmund, 17.-18. März 2009. Frankfurt am Main, 2009.

Research output: Chapter in book/report/conference proceedingConference contributionResearch

Hardware-based synchronization framework for heterogeneous RISC/Coprocessor architectures. / Flatt, Holger; Schmädecke, Ingo; Kärgel, Michael et al.
2009 International Symposium on Systems, Architectures, Modeling, and Simulation. Institute of Electrical and Electronics Engineers Inc., 2009. p. 125-132.

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

Efficient and fast analysis of power distribution networks. / Harizi, Hedi; Fischer, Horst; Olbrich, Markus et al.
2009 IEEE Symposium on Industrial Electronics and Applications, ISIEA 2009 - Proceedings. 2009. p. 425-430 5356442 (2009 IEEE Symposium on Industrial Electronics and Applications, ISIEA 2009 - Proceedings; Vol. 1).

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

Modeling and simulation techniques for voltage drop due to multiple input switching transitions. / Harizi, Hedi; Olbrich, Markus; Barke, Erich.
2009 International Conference on Computer and Electrical Engineering, ICCEE 2009. 2009. p. 546-550 5380220 (2009 International Conference on Computer and Electrical Engineering, ICCEE 2009; Vol. 2).

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

Combating Skill Shortage in Electrical Engineering: An Action-Oriented Teaching Unit on Microelectronics. / Jambor, Thomas; Zaum, D.; Olbrich, Markus et al.
In: Engineering Education and Educational Technologies 2009, 07.2009.

Research output: Contribution to journalArticleResearchpeer review

Memory efficient programmable processor for bitstream processing and entropy decoding of multiple-standard high-bitrate HDTV video bitstreams. / Nolte, N.; Moch, S.; Kock, M. et al.
Proceedings - IEEE International SOC Conference, SOCC 2009. 2009. p. 427-431 5398001 (Proceedings - IEEE International SOC Conference, SOCC 2009).

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

A multi-shared register file structure for VLIW processors. / Payá-Vayá, Guillermo; Martín-Langerwerf, Javier; Pirsch, Peter.
In: Journal of Signal Processing Systems, Vol. 58, No. 2, 20.03.2009, p. 215-231.

Research output: Contribution to journalArticleResearchpeer review

An enhanced DMA controller in SIMD processors for video applications. / Payá-Vayá, Guillermo; Martín-Langerwerf, Javier; Moch, Sören et al.
In: Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), Vol. 5455 LNCS, 2009, p. 159-170.

Research output: Contribution to journalConference articleResearchpeer review

Instruction merging to increase parallelism in VLIW architectures. / Payá-Vayá, Guillermo; Martín-Langerwerf, Javier; Giesemann, Florian et al.
2009 International Symposium on System-on-Chip - Proceedings, SoC 2009. Institute of Electrical and Electronics Engineers Inc., 2009. p. 143-146 5335660.

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

Exploration of Audio Features for Music Genre Classification. / Schmädecke, I.; Dürre, J.; Blume, H.
Proceedings: SAFE 2009, 12th Annual Workshop on Semiconductors Advances for Future Electronics and Sensors [and] ProRISC 2009, 20th Annual Workshop on Circuits, Systems and Signal Processing : November 26 - 27, 2009, Veldhoven, the Netherlands. Utrecht, 2009. p. 279-284.

Research output: Chapter in book/report/conference proceedingConference contributionResearch

Simulation and Modeling of I/O Protocol Processing with Application of Network Interface Design Exploration. / Septinus, K.; Nowosielski, R.; Pirsch, P. et al.
Elektronische Medien: Vorträge des 10. Dortmunder Fernsehseminars vom 29. September bis 1. Oktober 2003 in Dortmund. Berlin: VDE Verlag GmbH, 2009. p. 515-521 (ITG-Fachbericht; Vol. 179).

Research output: Chapter in book/report/conference proceedingConference contributionResearch

Fast dynamic power estimation considering glitch filtering. / Wang, Lei; Olbrich, Markus; Barke, Erich et al.
2009. 361-364.

Research output: Contribution to conferencePaperResearchpeer review

The PRAISE approach for accelerated transient analysis applied to wire models. / Zaum, Daniel; Hoelldampf, Stefan; Olbrich, Markus et al.
2009. 120-125.

Research output: Contribution to conferencePaperResearchpeer review


2008


Comprehensive reliability analysis of CoWP metal Cap unit processes for high volume production in sub-μm dimensions. / Aubel, O.; Thierbach, S.; Seidel, R. et al.
46th Annual 2008 IEEE International Reliability Physics Symposium Proceedings, IRPS. 2008. p. 675-676 4558983 (IEEE International Reliability Physics Symposium Proceedings).

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

A parallel hardware architecture for connected component labeling based on fast label merging. / Flatt, Holger; Blume, Steffen; Hesselbarth, Sebastian et al.
ASAP08, Conference Proceedings - IEEE 19th International Conference on Application-Specific Systems, Architectures and Processors. 2008. p. 144-149 4580169 (Proceedings of the International Conference on Application-Specific Systems, Architectures and Processors).

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review