Publications of the Institute of Microelectronic Systems

Showing results 501 - 520 out of 550

2005


Detailed routing with integrated static timing analysis applying simulated annealing. / Panitz, Philipp; Olbrich, Markus; Barke, Erich.
3rd International IEEE Northeast Workshop on Circuits and Systems Conference, NEWCAS 2005. 2005. p. 387-390 1496696 (3rd International IEEE Northeast Workshop on Circuits and Systems Conference, NEWCAS 2005; Vol. 2005).

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

PARSY: A PARasitic SYmetric router for net bundles using module generators. / Schreiner, Lars; Olbrich, Markus; Barke, Erich et al.
2005 IEEE VLSI-TSA International Symposium on VLSI Design, Automation and Test,(VLSI-TSA-DAT). 2005. p. 71-74 1500023 (2005 IEEE VLSI-TSA International Symposium on VLSI Design, Automation and Test,(VLSI-TSA-DAT); Vol. 2005).

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

Routing of analog busses with parasitic symmetry. / Schreiner, Lars A.; Olbrich, Markus; Barke, Erich et al.
2005. 14-19.

Research output: Contribution to conferencePaperResearchpeer review

A platform-independent methodology for performance estimation of multimedia signal processing applications. / Stolberg, Hans Joachim; Bereković, Mladen; Pirsch, Peter.
In: Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology, Vol. 41, No. 2, 01.09.2005, p. 139-151.

Research output: Contribution to journalArticleResearchpeer review

HiBRID-SoC: A multi-core SoC architecture for multimedia signal processing. / Stolberg, Hans Joachim; Bereković, Mladen; Moch, Sören et al.
In: Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology, Vol. 41, No. 1, 01.08.2005, p. 9-20.

Research output: Contribution to journalArticleResearchpeer review

RAPANUI: Rapid prototyping for media processor architecture exploration. / Vayá, Guillermo Payá; Langerwerf, Javier Martín; Pirsch, Peter.
In: Lecture Notes in Computer Science, Vol. 3553, 2005, p. 32-40.

Research output: Contribution to journalConference articleResearchpeer review

Von abstrakten Architekturtemplates zur hardwarenahen Architekturexploration. / Winter, Matthias; Pirsch, Peter.
INFORMATIK 2005 - Informatik LIVE!, Beitrage der 35. Jahrestagung der Gesellschaft fur Informatik e.V. (GI). 2005. p. 458 (INFORMATIK 2005 - Informatik LIVE!, Beitrage der 35. Jahrestagung der Gesellschaft fur Informatik e.V. (GI); Vol. 1).

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review


2004


A coprocessor for intelligent image and video processing in the automotive and mobile communication domain. / Jachalsky, Jörn; Wahle, Martin; Pirsch, Peter et al.
2004 IEEE International Symposium on Consumer Electronics - Proceedings. 2004. p. 142-145 (2004 IEEE International Symposium on Consumer Electronics - Proceedings).

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

Wirelength Reduction Using 3-D Physical Design. / Kaya, Idris; Salewski, Silke; Olbrich, Markus et al.
2004. 453-462.

Research output: Contribution to conferencePaperResearchpeer review

Placement Using a Localization Probability Model (LPM). / Olbrich, Markus; Barke, Erich.
2004. 1412.

Research output: Contribution to conferencePaperResearchpeer review

Performance estimation of streaming media applications for reconfigurable platforms. / Reuter, Carsten; Langerwerf, Javier Martín; Stolberg, Hans Joachim et al.
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). ed. / Andy D. Pimentel; Stamatis Vassiliadis. Springer Verlag, 2004. p. 69-77 (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); Vol. 3133).

Research output: Chapter in book/report/conference proceedingContribution to book/anthologyResearchpeer review


2003


A scalable, clustered SMT processor for digital signal processing. / Berekovic, Mladen; Moch, Sören; Pirsch, Peter.
2003. 62-69 Paper presented at 2003 Workshop on Memory Performance: Dealing with Applications, Systems and Architecture, MEDEA '03, Antibes Juan-les-Pins, France.

Research output: Contribution to conferencePaperResearchpeer review

Segmentation in the loop - Ein iteratives, objektunterstütztes vefahren zur bewegungsschätzung. / Blume, H.; Von Livonius, J.; Noll, T.G.
ITG-Fachbericht. 2003.

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

Placing substrate contacts into mixed-signal circuits controlling circuit performance. / Hermann, Andreas; Olbrich, Markus; Barke, Erich.
In: Proceedings of the Custom Integrated Circuits Conference, 2003, p. 373-376.

Research output: Contribution to journalConference articleResearchpeer review

Substrate Modeling and Noise Reduction in Mixed-Signal Circuits. / Hermann, Andreas; Olbrich, Markus; Barke, Erich.
2003. 13-18.

Research output: Contribution to conferencePaperResearchpeer review

A core for ambient and mobile intelligent imaging applications. / Jachalsky, J.; Wahler, M.; Pirsch, P. et al.
Proceedings - 2003 International Conference on Multimedia and Expo, ICME. IEEE Computer Society, 2003. p. II1-II4 1221538 (Proceedings - IEEE International Conference on Multimedia and Expo; Vol. 2).

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

3-D placement considering vertical interconnects. / Kaya, I.; Olbrich, M.; Barke, E.
Proceedings - IEEE International SOC Conference, SOCC 2003. ed. / Dong S. Ha; Richard Auletta; John Chickanosky. Institute of Electrical and Electronics Engineers Inc., 2003. p. 257-258 1241509 (Proceedings - IEEE International SOC Conference, SOCC 2003).

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

HIBRID-SOC: A multi-core architecture for image and video applications. / Moch, S.; Bereković, M.; Stolberg, H. J. et al.
2003. 55-61 Paper presented at 2003 Workshop on Memory Performance: Dealing with Applications, Systems and Architecture, MEDEA '03, Antibes Juan-les-Pins, France.

Research output: Contribution to conferencePaperResearchpeer review

Transmission of gray level images by multilevel dither techniques. / Pirsch, P.; Netravali, A. N.
In: Computers and Graphics, Vol. 7, No. 1, 11.06.2003, p. 31-44.

Research output: Contribution to journalArticleResearchpeer review

VLSI architectures for MPEG-4. / Pirsch, Peter; Berekovic, Mladen; Stolberg, Hans Joachim et al.
VLSI 2003 - 2003 20th International Symposium on VLSI Technology, Systems and Applications, Proceedings. Institute of Electrical and Electronics Engineers Inc., 2003. p. 208A-208E 1252589 (International Symposium on VLSI Technology, Systems, and Applications, Proceedings; Vol. 2003-January).

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review